Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Efficiency of Analog IC Designing

Status
Not open for further replies.
I think the second may be effient, and if you design you may know more about the layout
 

I can't agree with qslazio anymore!!
Indeed,in the company the most important job is MONEY!!
 

The second is much better as it saves time to the designer for extensive simulation (monte-carlo, noise sensitivities, some loop analysis, some corners, ...).
But it sometimes lead to last minute layout changes, where he can hear the layout )#k$w%@&Od&p98hr&^T after him!
But it is to get a better circuit :D
 

I think the first is better,but the second may be effient. I prefer the second, but i will give a lot
of suggestions befor layout team begin to layout.
 

i prefer the first.the electric circuit is very important,but simulation and layout is necessary too!
 

I think the second is better

circuit design and layout are not the same thing
 

in fact ,these two ways are not practical .when u work in some company ,u will find you must master more ways :))
 

split layout and circuit design is better
 

now day design engineer have to involve controlling every aspect of develop
 

The second way is more effiency. Because the layout engineer can start before u finish ur whole design.
 

First is better.
especially, there are some RF circuits.
 

propose an idea, then simulate it, if possible, do some experiments, simulate it again......
 

Schematic and layout design are different domains. Each requires ther own skills. It often happen that a good schematic design with an artwork of the components and interconnections figuring out the functionality circuit is placed in layout nearly one to one. That could be an advantage if the schematic artwork design is orientied to layout implementation. If it is more orientied to functional and structural properties it a disadvantage to follow a schematic. If you own both domains you can be much more powerful because your implementation decisions will be impacted by the layout knowledge.

I see other issues rising the last years: Because the analog simulation gets faster more people tend to design circuits by simulation instead by contruction rules. Sometimes they does not even use a single paper sheet or calculator for a hole week. They browse and search, copy and simulate. Occasionly they could not explain the function what they built. The result is that because there is no testbench as deep to highligth any hidden misconstruction long debugging cycles start after silicon.
 

I my company, designer is in charge of the design and manage the layout. I think this methodology is quite interesting.

In fact , after a short period of training, the layouter really knows your way of designing, and quickly understand what is critical, and what is not.

I have taken the habit to make many comments directly on my schematic, and also to have a discussion with the layouter before each layout, so as to clearly point the critical points, and the way I want the layout. It also offers the opportunity to check the whole design, ... which is sometime forgotten when you've been working alone on a circuit for weeks.

As for the layouter, they are far faster than I do with the tools. Because, it's they're full time job, and they're good at it. And once again, after a short training period, they quickly understand, so that sometime they can warn you on some hidden problem.

However, in my case, this method is efficient only because I've made some layout work before. I think it is a necessity for a designer to have made some layout. Because in your design you have to take the silicon implementation of the circuit into account, and your design will be better.

And as it was already mentionned, this method only work for analog DESIGNERS. Too many people just copy/paste simulate circuits without taking a pencil and writting down their equations, it's easier, but barely works.
 

I think the better way is :
Designer design and simulation,but they need to give a layout floorplan and some key requirements.
The latter thing are dealed by professional layout engineer.
 

My compagny choose the second way.But of course designers need to know layout .
 

We prefer the pipeline process. Our layouteers have the necessary experience to pick up any design and they will know what transistors to match without the designers telling them what to do. It frees up the designers to concentrate on design and evaluation. Then again, this works well only if the designers and layouteers are experienced and can relate to each other well.
 

highspeed => first
don't care => second
 

I begin from the layout.I think layout is important for a circuit designer.
 

I'll suggest that at the beginning of a project when blocks are small enough, choose the first one. While the project becomes bigger, switch to the last one.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top