Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Difference between Dual Port Memory and True Dual Port Memory ?

Status
Not open for further replies.

imbichie

Full Member level 6
Joined
Jul 30, 2010
Messages
381
Helped
55
Reputation
110
Reaction score
54
Trophy points
1,308
Location
Cochin/ Kerala/ India or Bangalore/ Karnataka/ Ind
Activity points
3,580
Hi all,

Please let me know the difference between Simple Dual Port Memory and True Dual Port Memory.

As far as I know in simple dual port memory, there are separate Write data_in_A/address_A/enable_A channel and separate Read data_out_B/address_B/enable_B channels. We can write and read at the same time. But we cannot write and read the same memory location at the same time.

Then in True dual port memory, there are separate Write data_in_A/data_out_A/address_A/enable_A channel and separate Read data_in_B/data_out_B/address_B/enable_ channels. We can write and read at the same time. But I don't know whether write and read the same memory location at the same time.

Please clarify the same.
 

Usually true dual port refers to a memory with different clocks on both sides. Simple usually has a single clock for both sides.
The read/write clash also has different modes: read-first, write-first and "dont care". This behaviour is usually an IP block parameter, can be done by inferring the ram using a signal or shared variable, or by using an attribute on the memory signal.
 


Well there you go - Altera and Xilinx differ on their ideas.

Altera True dual port ram: https://www.altera.com/en_US/pdfs/literature/ug/ug_ram_rom.pdf

You can perform any combination of two port operations:
• two reads, two writes, or,
• one read and one write at two different clock frequencies.

- - - Updated - - -

Heres another key point in the Xilinx literature (page 55). Simple dual port means read-only from one port and write-only to the other

The Simple Dual-port RAM is like a
true dual-port RAM where only the Write interface of the A port and the Read interface of
B port are connected
 
Yes
Like I said, and in common with the Xilinx rams, you have a parameter where you can set the behaviour - read-first, write-first or unknown/dont care

- - - Updated - - -

read-first = read old data
write-first = read new data
unknown = magic
 
@imbichie:
Altera seems to have a more-clear way to do this for mixed port read during write. With Xilinx, each port has a read-first/write-first setting. However, the writing port must be set to read-first in the mixed-port read-during-write equivalent case.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top