Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Constraining a "sticky" register for synthesis

Status
Not open for further replies.

casey480

Junior Member level 1
Joined
Dec 7, 2009
Messages
17
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,413
I am using a register in a non-typical fashion. The rising edge of signal 'A' will set this register. It is reset asynchronously by signal 'B'.

Signal 'A' is acting like a clock on this register, but not on other logic, so i am hesitant to create a clock on that port. How do i constrain this register properly?

Thanks.
 

Create a clock for signal A.
 

And make it enabled for this variable like 'clock as data' enabled in synthesis and PNR's variables.
 

ah, a clock on the signal rather than the port. makes sense. thanks!
 

Define a clock on the pin or port driving(generating) the signal A.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top