Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] COE file creation problem for BRAM generator

Status
Not open for further replies.

hodahussein

Full Member level 2
Joined
Apr 17, 2007
Messages
144
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,298
Activity points
2,276
dear all

i have a problem during generate BRAM (initialization it with coe file )
i created coe file with following syntax:

--------------------------
MEMORY_INITIALIZATION_RADIX=16;
memory_initialization_vector=
04,
04,
05,
04,
04,
04,
04,
04;
--------------------------
and save it in file with extention [ .coe],ok
and when initialize the BRAM, and load it with this file in [load init file ] step
already, i load it but, when i click on show button to check if this file valid or not
then i observe that in [coe file content]:

Radix : not found
also this message [ cannot find radix with name :MEMORY_INITIALIZATION_RADIX]

i need to explanation plz
regards
 

Attachments

  • Untitled.jpg
    Untitled.jpg
    31.1 KB · Views: 174
Last edited:

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top