Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

clock multiplexer problem - help needed

Status
Not open for further replies.

bigdog

Junior Member level 2
Joined
Jul 18, 2005
Messages
24
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,452
clock multiplexer

Hello,

I have two input clocks, CLKA and CLKB.
They go to a multiplexer directly from input port then generate a new clock CLK_SYS as the system clock of the whole circuit, but the select signal of the clock multiplexer comes from the CLK_SYS domain and its value is not a constant.
So, how should I define the clocks of this circuit?

Note: I use Synopsys design complier.

Thanks!
 

Re: clock multiplexer

bigdog said:
Hello,

I have two input clocks, CLKA and CLKB.
They go to a multiplexer directly from input port then generate a new clock CLK_SYS as the system clock of the whole circuit, but the select signal of the clock multiplexer comes from the CLK_SYS domain and its value is not a constant.
So, how should I define the clocks of this circuit?

Note: I use Synopsys design complier.

Thanks!

You could use the max frequency(in your design) clock to synthesis.
 

Re: clock multiplexer

If I do so, how do I to do the timing analysis with PT, should I set case analysis since I have a multiplexer on the clock path?
 

Re: clock multiplexer

bigdog said:
If I do so, how do I to do the timing analysis with PT, should I set case analysis since I have a multiplexer on the clock path?

Yep, you could use the case analysis for safety
 

clock multiplexer

Ok, thanks, I'll try that.
 

clock multiplexer

I don't think you need to. STA tools know to analyze both clocks. You'll get 2 paths.
 

Re: clock multiplexer

bigdog said:
Hello,

I have two input clocks, CLKA and CLKB.
They go to a multiplexer directly from input port then generate a new clock CLK_SYS as the system clock of the whole circuit, but the select signal of the clock multiplexer comes from the CLK_SYS domain and its value is not a constant.
So, how should I define the clocks of this circuit?

Note: I use Synopsys design complier.

Thanks!

Hi,

For this you have to use No-Glitch mux to switch clock attached file is application of clock multiplexer.


Also verilog file code of No-Glitch Mux is available from
**broken link removed**

I have did this before long time and i also used Synopsys design complier.

HTH
--
Shitansh Vaghela
 

Hi Shitansh,

I know it is very old thread, But I need some help on this as you tested on DC.

I am using the same code which you mentioned for my design. I am not able to perform STA properly. I want to do power analysis for each clock and at the same time want to check how many registers clocked during case_analysis, so I am using the set_case_analysis but it is not working, means if I set select pin as 1 or 0 in both the case both the clocks are going to all registers. Have you experienced this issues, do I need to set any other constraints please let me know.

Anybody who knows anything about this, then please give some suggestion.

Thanks for reading this post.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top