Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Clock Dividing - how to handle a late arriving edge?

Status
Not open for further replies.

Digital-L0gik

Member level 2
Joined
Jan 26, 2009
Messages
44
Helped
5
Reputation
10
Reaction score
4
Trophy points
1,288
Activity points
1,577
Clock Dividing

Hi Everyone,

I have a highspeed clock coming out of a piece of ip that times data coming out on every edge of that fast clk. My design requires that I divide this clock by 2, and I am doing so by a simple flip flop configuration (no PLL is available to use). My concern is that my divided clock edge might arrive later to flip flops that are grabbing some of the pieces of data riding out on the faster clk. The danger is in losing data. What can I do to handle the possibility of a late arriving edge?

Thanks.
 

Clock Dividing

First, the data being launched be the fast clock and captured by the slow clock can only be launched every other cycle, otherwise the slow clock will miss half the data. If your design takes care of that, then you have no worries. The two clocks can be grouped and balanced together during clock tree synthesis to ensure all clock edges arrive around the same time.
 

Re: Clock Dividing

Yeah, i account for that. Thanks for the reply. You are right, clock tree synthesis will take care of it.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top