Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

can someone give me a divide-by-7 diagram? DIAGRAM please.

Status
Not open for further replies.

diodelite

Newbie level 5
Joined
Dec 8, 2009
Messages
8
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,330
I am working hard on other circuits and have no time to work on the divider. Thank you in advance.
 

Re: can someone give me a divide-by-7 diagram? DIAGRAM pleas

A suggestion is to multiply by 1/7.
 

Hi,

Check i.e. by ddt694 pls...
K.
 

Re: can someone give me a divide-by-7 diagram? DIAGRAM pleas

Karesz, Thank you for your message.

Those are a by-3 diagram and its waveform. No by-7 available. Anyway, I have spent half day to study and finished the by-7 divider.
 

Re: can someone give me a divide-by-7 diagram? DIAGRAM pleas

diodelite said:
Karesz, ...Those are a by-3 diagram and its waveform. No by-7 available....
Sorry, but I did write for you: "check by ddt694", I (and we) can not scroll with your hand + mouse:-(((, but I do attach it yet_only for you(of course) :)
Good luck!
K.
 

Hi diodelite,
Sorry, I didnot check the circuits_only the titels...
You are right:)!
K.
 

module multi_7 (
input rst ,
input clki ,
output reg error ,
output clko
);
reg [2:0] cnt ;
reg h ;
reg l ;
always @(posedge clki)begin
if(rst==1'b1)begin
cnt<=6;
end else begin
cnt<=(cnt>=6)?0:cnt+1'b1;
end
end
always @(posedge clki)begin
if(rst==1'b1)begin
h<=1'b0;
end else begin
h<=
(cnt==6)?~h:h;
end
end
always @(negedge clki)begin
if(rst==1'b1)begin
l<=1'b0;
end else begin
l<=
(cnt==3)?~l:l;
end
end
always @(posedge clki)begin
if(rst==1'b1)begin
error<=1'b0;
end else begin
error<=(cnt==6)?(clko):error;
end
end
assign clko = h ^ l ;
endmodule
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top