Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

can code coverage done on the gate level netlist?

Status
Not open for further replies.

leongch

Member level 2
Joined
Dec 22, 2005
Messages
44
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Activity points
1,692
fault coverage netlist

Hi all,

I am just wondering, do we need to run the code coverage at the gate-level netlist?
Can the code coverage from cadence support code coverage at the gate-level netlist? Thanks
 

Do you mean 'fault coverage'?
 

i think no need for that, as you do it in RTL to ensure and verify your code. after you synthesize it, be sure it is accurate as it is automatic synthesis.
 

leongch said:
Hi all,

I am just wondering, do we need to run the code coverage at the gate-level netlist?
Can the code coverage from cadence support code coverage at the gate-level netlist? Thanks

To a limited extent yes. For instance:
[li]
* toggle cov on important nets
* FSM coming out of reset properly
[/li]

Yes, Cadence tools should be able to do this.

HTH
Ajeetha, CVC
www.noveldv.com
https://sv-verif.blogspot.com
 

Wow, the FSM-coverage tool can recognize state-machines in a netlist-representation? Or does the user need to declare the state-machine ahead of time, so the tool is aware of it?
 

leongch said:
Hi all,

I am just wondering, do we need to run the code coverage at the gate-level netlist?
Can the code coverage from cadence support code coverage at the gate-level netlist? Thanks

By code coverage we mean how much of the different paths in the design have we executed. And we do this in RTL.
 

coverage in gate level may be not as coverage in the RTL, as we all know coverage in
RTL is a measure for the executed functions and test plans. but in gate level we may take its meaning as ensuring that the synthesized design is as same as the rtl. i.e if your design has blocks A,B and C in RTL, we may need to cover that these blocks are truly synthesized and our function is still accurate (it is most likely gate level simulation).
about a tool that makes that--> i know Formal Pro from mentor graphics do that you can find more details about it if you visited www.mentor.com/products you can find it under the topice "scalable verification"
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top