Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

BPSK modulator and demodulator in FPGA

Status
Not open for further replies.

devashishraval

Newbie level 4
Joined
Dec 31, 2009
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
ahmedabad
Activity points
1,320
I want to implement BPSK modulator and demodulator in verilog on spartan 3 kit.
If anyone has done that on any fpga, i request your guidance...

I know the concept that for transmitting '0', we send the sinusoid as it is and for '1' we add 180 phase shift to the sinusoid.

I request guidance on following points
- BPSK modulator, demodulator blocks those can be coded in verilog and ported to an FPGA.
- How do we generate I and Q sinudoid in fpga?
- Can we use only one one sine wave and its inverted version and give it to a 2:1 mux inputs with select line supplied by input data to be modulated. here for '0' information bit we can pass sine wave and for '1' we can send the inverted version.
- Can we use the DCM(Digital Clock Manager) of the FPGA for BPSK modulation?

Thanx in advance.
 

Did u try opencores.org?
--
Amr
 

    V

    Points: 2
    Helpful Answer Positive Rating
Thanx for the suggestion amraldo...

I tried opencores but they do not have much to say...
edaboard has more threads on BPSK discussion than any other has, thats y i asked here.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top