Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

altera constrains quartus

Status
Not open for further replies.

treqer

Full Member level 3
Joined
Mar 10, 2011
Messages
173
Helped
21
Reputation
42
Reaction score
21
Trophy points
1,298
Location
Russia
Activity points
2,139
whether you want to describe the input clock, or rather that its value is specified as the input frequency to describe the unit PLL?

---------- Post added at 09:24 ---------- Previous post was at 08:19 ----------

Clarify. In xilinx ample set the input frequency of DCM in UCF. In this case, all produced by it. clock constraints are assigned automatically. Holds it for Altera? I point out the input pin in assigment and say 50 mhz. Will be created clock constraints for all PLL outputs?
 

You can create a clock within TimeQuest. Constraints->Create Clock.
If the clock is the reference to a PLL, it is automatically recognized .
Include "derive_pll_clocks -create_base_clocks" command in your sdc file to define pll outputs as clocks.
Frequencies of PLL output signals are calculated automatically.
 

project not content *.sdc file. create?
how to get this entry through the quartus interface?

if i create new assigments for PLL out clk's, derived input clk (proj//setting//individual clock//new//baset on ) it will be properly ?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top