Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to use PicoBlaze to build interfaces?

Status
Not open for further replies.

a_fetoh

Newbie level 6
Joined
Sep 29, 2006
Messages
12
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Mansoura, Egypt
Activity points
1,357
Xilinx website contains some applications which use PicoBlaze ,
I thought that is a software , but when i downloaded it from website i found something called KCPSM3 .
I would like to know how to use it to build an interfaces for somethings like RS232 or ADC or DAC or PS2 ... for Spartan 3e Kit

Thanks Friends
 

picoblaze clone

Picoblaze is Ken Chapman's 8-bit microprocessor CORE optimized for Xilinx FPGA and CPLD and have very small footprint.

KCPSM stands for Constant(k) Coded Programmable State Machine

Xililinx site have a Picoblaze resource center where some could find more about the core.

https://www.xilinx.com/ipcenter/processor_central/picoblaze/picoblaze_user_resources.htm

There is another core clone of Picoblaze named PACOBLAZE, which is designed in verilog insted of the original VHDL and also is destined to be implemented on wider range of FPGA (no optimizations), but also with wide range of periferial devices.

https://bleyer.org/pacoblaze/
 

what is a picoblaze

Thanks for reply ,
I've a general view for the user guide.
I think it will take a long time to be familiar with it.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top