Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

any body using modelsimm for simulation guide me

Status
Not open for further replies.

zahra.nedian

Newbie level 1
Joined
May 17, 2007
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,288
hey um zahra final yr student of NED uet ay khi pakistan
is thr nebody who use modelsim as simulation of verilog codes?
and can any one guide me how can i call a data or more precisely image data in modelsim i have converted ma image in hex form via a hexeditor but problem is that i cant initialize ma ram with my data
i was familiar with $readmemh command to call a hex file but its not working
ihave stored image hexa form as image.txt and calling it
$readmemh("image.txt",mem);
where mem is my ram in the program declared as
reg [0:7]image[0:290]..(something lik that)
kindly guys help me out
regards
zahra
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top