Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

8 bit counter....................

Status
Not open for further replies.

anu nair

Newbie level 5
Joined
Sep 28, 2006
Messages
10
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,281
Activity points
1,343
hi all
see iam in urgent need of a 8 bit up counter for my project.
anybody who is aware of this coding plz sennd me this.


regards anu.
 

In Verilog 2001:

reg [7:0] counter = 0;
always @ (posedge clock) counter <= counter + 1;
 

in VHDL
t: variable 0 to 255;

if (clk'event and clk='1') then
t:=t+1;
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top