Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

prime time report_analysis_coverage has noclock

Status
Not open for further replies.

andy.huang

Newbie level 3
Joined
Jul 22, 2016
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
28
hello,

i used prime time to check timing, and used report_analysis_coverage to show untested report.
There are many untested pins with noclock reason like below


constrained pin related_pin clock check_type slack Reason
--------------------------------------------------------------------------------------------------
xxx/CDN(low) min_pulse_width untested noclock



i checked report_min_pulse_width, and all of them are meet.
please suggest me how to solve this issue, thanks
 

xxx/CDN sounds like a clock gating instance. but that is the only hint I get from the info you shared.
 

it's a DFF cell's reset pin, like this

cell_name dout_reg(.Q(),
.CDN(),
.CP(),
.SI(),
.D(),
.SE() );

in me case, CDN is connected to asynchronous hardware reset, and CP comes from create_clock and pass through clock gated latch
 

This is asynchronous pin so it has nothing to be constrained with REG/CP.
I believe you can check its timing by Removal/Recovery checks.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top