Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

ncsim, can't record signals with SYSTF DBPRVIS warning

Status
Not open for further replies.

ChanKim

Newbie level 4
Joined
Jul 23, 2016
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
36
Hi,
It's been years since I worked on Verilog design last time.
To do a simple test, I tried running Verilog simulation and I get this warning during simulation. and I can't see the signals in simvision window.

WARNING: SYSTF DBPRVIS
For the requested database probe some object did not have read access

The line I get this error is marked below (by '<=== here')


Code Verilog - [expand]
1
2
3
4
5
6
initial begin
$recordsetup("design = tb_conv", "directory = rtl_wave.shm", "run = 1");
$recordvars("depth = 0", tb_conv);    <=== here
$recordon;
$display("#sim started");
end


in another post I searched, someone suggested using ncverilog --access rwc but my command is ncvlog. (not ncverilog) and it doesn't understand the option.
ncvlog: *F,BADOPT: unknown or ambiguous options (--access) (for command ncvlog --access rwc tb_conv.v)

my cds.lib file :
Code:
DEFINE work ./work
INCLUDE $NC_HOME/tools/inca/files/cds.lib
and my hdl.var :
Code:
DEFINE WORK work
I'm using INCISIV12.2. THanks!
 
Last edited by a moderator:

to my ncvlog -debug tb_conv.v I got
ncvlog: 12.20-s008: (c) Copyright 1995-2013 Cadence Design Systems, Inc.
ncvlog: *F,INVDBX: invalid debug license.

- - - Updated - - -

to my ncvlog -debug tb_conv.v I got
ncvlog: 12.20-s008: (c) Copyright 1995-2013 Cadence Design Systems, Inc.
ncvlog: *F,INVDBX: invalid debug license.

Oh, I should have give -access rwc to ncelab command.
 

I learned that I should have given "-access rwc" option to the ncelab command, not ncvlog command.
 

you know there is a super command called irun, right? there are very few cases where I still have to do the 3 step process
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top