Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Why do you use irun instead ncverilog?

Status
Not open for further replies.

u24c02

Advanced Member level 1
Joined
May 8, 2012
Messages
404
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,298
Activity points
4,101
Hi.

I am curious about "Why do you use irun instead ncverilog?"

I usually used ncverilog. I think this is very simple.and good. Someday the people used irun .
I don't know irun.
Is there any benefit using irun instead ncverilog?
Why you use irun?
 

Hi,

As far as I know, there is no much difference between ncverilog and irun. ncverilog is old command and irun is new command and have some extra features has been added.

Thanks

Hi.

I am curious about "Why do you use irun instead ncverilog?"

I usually used ncverilog. I think this is very simple.and good. Someday the people used irun .
I don't know irun.
Is there any benefit using irun instead ncverilog?
Why you use irun?
 

Irun is a composite cadence command that include compilation, elaboration and simulation. instead of doing ncverilog, ncelab, ncsim separately, you can just run irun.
 

Hi Morris,

Please let me know if my understanding is correct or not. ncverilog is also composite command which does compilation, elaboration and simulation. where as ncvlog is for compilation, ncelab is for elaboration and ncsim is for simulation.

Thanks
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top