Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

verilog code of sin wave generation

Status
Not open for further replies.

rids1

Newbie level 4
Joined
Dec 4, 2013
Messages
6
Helped
1
Reputation
2
Reaction score
0
Trophy points
1
Activity points
33
i need verilog code of sine wave generator....i tried with cordic algorithm but i cant able to proceed....plzzz help
 

On the net should be some ready verilog codes for sinus function implementation. But if You need code which are synthesized it could be quite hard to write. Some FPGA tools (like xilinx ISE) provides DDS block wizards to create them.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top