Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

the VHDL EXT function

Status
Not open for further replies.

shaiko

Advanced Member level 5
Joined
Aug 20, 2011
Messages
2,644
Helped
303
Reputation
608
Reaction score
297
Trophy points
1,363
Activity points
18,302
What does the VHDL "EXT" function do?
How is it different from the "resize" function?
 

The main difference is SXT/EXT are for std_logic_vectors. Resize is for signed/unsigned types. SXT/EXT are non-standard VHDL

EXT zero extends the std_logic_vector. SXT sign extends.
EXT and SXT are both from the non-standard package std_logic_arith.

resize always extends based on type (so unsigned is zero extended, signed is sign extended).
 
  • Like
Reactions: shaiko

    shaiko

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top