Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

NCsim: script to report 'X' nodes/signals

Status
Not open for further replies.

George_P

Member level 2
Joined
May 26, 2007
Messages
46
Helped
4
Reputation
8
Reaction score
3
Trophy points
1,288
Activity points
1,597
Hi,

I want to get a report from ncsim cadence simulator, listing all the signals that have the value 'X' (e.g. at the current simulation time or throughout the simulation duration, any of the two will do).

Can somebody suggest a way to do it, either using the tool or some scripting? I am thinking of using the "value" command of ncsim, but I don't know how to iterate all the signals in the design.

Thanks,
George
 

Hi,
One way that I am using is to write procedures in test bench, but this solution is to trace only inputs and outputs of the module using signals of test bench not secondary signals of the design.
The idea is to explore the verilog language using simple tasks in the test bench as the following:
task tbench_verif;
reg reg_name (used as global signal);
integer i (integers can be used);
begin
i=0;
while (i < Number)
begin
if (reg_name === 1'bx)
{
$display($time,"ns:"); //display in the console
}
end

Note: I didn't compile the example, but it should work

Regards
 

Thank you, but I need a way to traverse through all the signals of the design to debug gate level simulations.

Regards

Hi,
One way that I am using is to write procedures in test bench, but this solution is to trace only inputs and outputs of the module using signals of test bench not secondary signals of the design.
The idea is to explore the verilog language using simple tasks in the test bench as the following:
task tbench_verif;
reg reg_name (used as global signal);
integer i (integers can be used);
begin
i=0;
while (i < Number)
begin
if (reg_name === 1'bx)
{
$display($time,"ns:"); //display in the console
}
end

Note: I didn't compile the example, but it should work

Regards
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top