Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] VHDL and Verilog difference

Status
Not open for further replies.

Mina Magdy

Member level 3
Joined
Jun 19, 2012
Messages
67
Helped
6
Reputation
12
Reaction score
5
Trophy points
1,288
Location
Cairo, Egypt
Activity points
1,742
could any one told me what is the different between (Variable in VHDL and reg in Verilog ) and (Signal in VHDL and wire in Verilog)
 

The things you mention are not comparable. You might as well ask whats the difference between an integer in C and the letter 'E'

Variables in VHDL similar to blocking assignments in verilog
Signals are like non-blocking in verilog
 
Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top