Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Problem in linking object file using gcc

Status
Not open for further replies.

er.akhilkumar

Full Member level 2
Joined
Feb 1, 2011
Messages
120
Helped
4
Reputation
8
Reaction score
4
Trophy points
1,298
Location
Noida
Activity points
2,418
I have generated an object file from a c++ file by using following command:

gcc -I path_of_header_file main.cpp

From above command I got main.o file.

When I tried to generate executable file using the following command it reports many errors:

gcc main.o -o main

Actually it is a system C file and before running it I have installed system C package on my linux platform.

Here are the few lines of error report:

/usr/lib/gcc/i386-redhat-linux/4.1.2/../../../crt1.o: In function `_start':
(.text+0x18): undefined reference to `main'
main.o: In function `__static_initialization_and_destruction_0(int, int)':
main.cpp:(.text+0x23): undefined reference to `std::ios_base::Init::Init()'
main.cpp:(.text+0x4b): undefined reference to `sc_core::sc_api_version_2_3_0::sc_api_version_2_3_0()'
main.o: In function `__tcf_0':
main.cpp:(.text+0x78): undefined reference to `std::ios_base::Init::~Init()'
main.o: In function `sc_main':
main.cpp:(.text+0x92): undefined reference to `sc_core::sc_clock::sc_clock()'
main.cpp:(.text+0xa5): undefined reference to `sc_core::sc_module_name::sc_module_name(char const*)'
main.cpp:(.text+0xc5): undefined reference to `sc_core::sc_module_name::~sc_module_name()'
main.cpp:(.text+0xde): undefined reference to `sc_core::sc_module_name::~sc_module_name()'
main.cpp:(.text+0x118): undefined reference to `sc_core::sc_module_name::sc_module_name(char const*)'
main.cpp:(.text+0x138): undefined reference to `sc_core::sc_module_name::~sc_module_name()'
main.cpp:(.text+0x151): undefined reference to `sc_core::sc_module_name::~sc_module_name()'
main.cpp:(.text+0x25d): undefined reference to `std::cout'
main.cpp:(.text+0x262): undefined reference to `std::basic_ostream<char, std::char_traits<char> >& std::eek:perator<< <std::char_traits<char> >(std::basic_ostream<char, std::char_traits<char> >&, char const*)'
main.cpp:(.text+0x26a): undefined reference to `std::basic_ostream<char, std::char_traits<char> >& std::endl<char, std::char_traits<char> >(std::basic_ostream<char, std::char_traits<char> >&)'
main.cpp:(.text+0x272): undefined reference to `std::basic_ostream<char, std::char_traits<char> >::eek:perator<<(std::basic_ostream<char, std::char_traits<char> >& (*)(std::basic_ostream<char, std::char_traits<char> >&))'
main.cpp:(.text+0x2c5): undefined reference to `sc_core::sc_start()'
main.cpp:(.text+0x2d4): undefined reference to `std::cout'
main.cpp:(.text+0x2d9): undefined reference to `std::basic_ostream<char, std::char_traits<char> >& std::eek:perator<< <std::char_traits<char> >(std::basic_ostream<char, std::char_traits<char> >&, char const*)'
main.cpp:(.text+0x2e1): undefined reference to `std::basic_ostream<char, std::char_traits<char> >& std::endl<char, std::char_traits<char> >(std::basic_ostream<char, std::char_traits<char> >&)'
main.cpp:(.text+0x2e9): undefined reference to `std::basic_ostream<char, std::char_traits<char> >::eek:perator<<(std::basic_ostream<char, std::char_traits<char> >& (*)(std::basic_ostream<char, std::char_traits<char> >&))'
main.cpp:(.text+0x3fb): undefined reference to `sc_core::sc_clock::~sc_clock()'
main.cpp:(.text+0x423): undefined reference to `sc_core::sc_clock::~sc_clock()'
main.o: In function `sc_dt::sc_value_base::sc_value_base()':
main.cpp:(.text._ZN5sc_dt13sc_value_baseC2Ev[sc_dt::sc_value_base::sc_value_base()]+0x4): undefined reference to `vtable for sc_dt::sc_value_base'
main.o: In function `sc_dt::sc_value_base::sc_value_base(sc_dt::sc_value_base const&)':


Can anyone help me in resolving this problem?

Thanx

Akhil Kumar
 

Possibility of missing path for libraries. Please try to build exe with some simple sample code and try.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top