Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Usage of vsim in questasim

Status
Not open for further replies.

dhivya34

Junior Member level 1
Joined
Aug 7, 2012
Messages
18
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,399
Hi all,

What is the difference between the following commands?

$ vsim vsim.wlf
$ vsim -view vsim.wlf &

Thanks.
 

check vsim -help in your unix login. it will give you all the options available with vsim command.
 

vsim -help gives the various allowed options.
vsim -view vsim.wlf allows us to view the contents of the wlf file.
But I wanted to know the usage of & at the end of the command.
 

Hei that is not command dependent. it just instructs to run this process(Her your vsim command) as a background process. It is unix related. it will help to easily kill the window if it gets hanged.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top