Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] VHDL error:port has no source

Status
Not open for further replies.

darshkamal

Junior Member level 1
Joined
Nov 7, 2011
Messages
18
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,443
Hello all,
I've correctly synthesized blocks. Then I'm using structural description to build the complete component.
I'm sure the connections are correct and that there're no floating i/p ports, but I get the following error during synthesis:

Xst:1706 - Unit <comp5>: port <x_real<4><7>> of logic node <slice3/slice1/x_real<4><7>_inv> has no source

and it's repeated more than once.
I'm using Xlinix ISE10.1 for synthesis.

Thank you in advance
 

I've found a solution
The solution is setting keep Hierarchy to "Yes" from Process---->properties--->keep Hierarchy
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top