Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

vhdl/verilog code for interfacing DDR3 SDRAM to vertex6 FPGA

Status
Not open for further replies.

dmx-512

Junior Member level 2
Joined
Jan 23, 2012
Messages
24
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
2,009
Hi
Can any1 plzz help me writing a vhdl/verilog code for interfacing DDR3 SDRAM to vertex6 FPGA or spartran6 FPGA....
Thank you.
 

I would start from here: **broken link removed** . MIG is available in Xilinx Core Generator tool, which generates Verilog or VHDL code for DDR3 memory controller.

Hi
Can any1 plzz help me writing a vhdl/verilog code for interfacing DDR3 SDRAM to vertex6 FPGA or spartran6 FPGA....
Thank you.
 

Hello Jimwu88,

I am also looking for the same solution, Is the MIG available on free webpack ?

Thanks.

I would start from here: **broken link removed** . MIG is available in Xilinx Core Generator tool, which generates Verilog or VHDL code for DDR3 memory controller.
 

Hi,

I want to write a data to ddr memory using multi port memory controller. Mibrobalaze and my custom core will write and read to ddr3 ram additinally my custom core will read and writ to ddr3 ram. But i coulnd find any documentation how to control multi port memory controller (mpmc) with my custom core ??? There is signals which names are below;

--FSL1_M_WRITE ,
--FSL1_M_DATA ,
--FSL1_M_CONTROL ,
--FSL1_M_FULL ,
--FSL1_S_READ ,
--FSL1_S_DATA ,
--FSL1_S_CONTROL ,
--FSL1_S_EXISTS


but there is no any timing or etc about how to write and read to/from ddr3 RAM. I dont know how to adress the ddr3 memory using this signals ??

Could any one help me about that?

Best Regards.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top