Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What is the bset way for practising VHDL and Verilog

Status
Not open for further replies.

sagar474

Full Member level 5
Joined
Oct 18, 2009
Messages
285
Helped
5
Reputation
10
Reaction score
5
Trophy points
1,318
Location
India,kakinada
Activity points
3,122
I have no any FPGA development board.

H need to practice and get clear idea about VHDL and verilog.
 

read a book (Students Guide to VHDL by Ashenden ive seen recommended around)
get a text editor (notepad++ is free)
get a simulator (ghdl is free)

I dont know anything about verilog.
 

you can simulate and make test builds using ISE/Quartus's web versions. Ideally, you would be able to determine what coding styles would result in smaller, faster designs. For this purpose, Quartus might be better as it has support for more languages. You might eventually look into getting one of the cheaper development boards. I think xilinx has a tiny spartan6 usb key devboard.
 

but how can is know that my code is synthesizable code?
I'm confused about behavioural and rtl code.
 

creating the bit file is the last step before placing it onto an FPGA. at this point, you can read the sysnthesis reports and the implementation reports (fitter/map) and the timing analysis. you can even run post-synthesis simulations. The only thing you can't do is actually test the results on HW. There are some things that aren't practical -- anything that needs to run for several seconds would be time-consuming to simulate. But you can verify the functionality as well as determine a good estimate of the maximum clock rate and the area used.
 
Read the tutorials, they should point you in the direction.
And RTL code is behavioural code that the synthesisor can convert into gates and registers.
 
Just one small piece of advice.

Please do not mix up VHDL & Verilog. Do decide on one and develop on it.

A free synthesis tool is not available anywhere.
But there are some good VHDL/Verilog textbooks in which numerious basic examples are
provided showing gate level representation of a logic after synthesis by some tool .
If u go carefully through them, you can grasp the methodology to write correct/good code.

All the best!
 
You can download ISE and Quartus for free and synthesise designs for smaller devices.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top