Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

what is `celldefine in Verilog

Status
Not open for further replies.

otis

Member level 3
Joined
Sep 21, 2010
Messages
60
Helped
4
Reputation
8
Reaction score
3
Trophy points
1,288
Activity points
1,711
Hi,

could anyone explain about `celldefine?

What is it? and where it is used?

Thanks!
 

this is mainly meant for back annotation.

The `celldefine and`endcelldefinecompiler directives tagmodule instances as cell instances. More than one pair of `celldefineand`endcelldefinecompiler directives can appear in a single source description.Certain PLI access routines use cells for applications such asdelay calculation. Verilog-XL does not mark macro modules(which it expands inline) as cell instances. Refer to the PLI 1.0 Reference and User Guideand theVPI Reference and User Guidefor more information about access routines thatrecognize cells and the use of cells in delay calculation.
Note:You do not need to apply these compiler directives tocells extracted from libraries, because Verilog-XLautomatically tags modules as cells unless you invoke it withthe command-line plus option+nolibcell
 

The directives ‘celldefine and ‘endcelldefine tag modules as cell modules.
This directive is used for standard cells, io cells, memory ip blocks modules outside the module definition. This modules contains logic primitives and timing section (specify) that is used for timing analysis.
 

Just to add to the above comments and what I understood by 'celldefine: I came across this 'celldefine directive in the libraries used by tmax for running ATPG.

'celldefine defines a module as a cell module, which means, if these modules have any instances of some other sub-modules, then these sub-modules will be masked. For running ATPG, when I read the cell modules, the faults due to sub-modules were ignored.

Everytime I searched for the meaning of 'celldefine, I was expecting an asnwer of this kind.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top