Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[Help] FPGA clock multiple cann't work use Xilinx PLL

Status
Not open for further replies.

gonewithstone

Newbie level 5
Joined
Jun 16, 2008
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,343
In Xilinx Spartan6 FPGA, instantial two PLLs, use output clock as PLL feed back in clock , one for clock multiple(25MHz in, 75MHz Out), another for clock division(125MHz in, 62.5MHz).
The test result shows the two PLL can PLL lock, the clock division can generate 62.5MHz clock, but clock multiple cann't generate 75MHz clock, only has 25MHz clock out!
Anyone knows why the clock multiple cann't work?
 

Did you check what the allowable min-max input frequencies are for the PLL?

Also, as a first try you can use the core generator to generate the PLL + DCM stuff for you. That way you have the advantage of using the primitives in a "xilinx supported" fashion. Then you can look at the code the core generator made in the ipcore_dir, to get some inspiration on how to use the primitives.

Oh never mind. Just checked, mininum input freq for the PLL is 19 MHz.

Still, I would use the core generator and see if that gives me the result I was looking for...
 

what did you use for the settings? if the PLL locked, then it sounds like you have some settings wrong for the clock multiplier, or are using the wrong project, or are looking at the wrong output.

the feedback clock is intended to be the same frequency as the input. The PLL is going to try to force this. if you want a different clock frequency out, you should use another clock output. The feedback is more for compensating for unknown delays, like the clock buffer or PCB traces (if the clock goes off chip). I'm not sure why the clock division worked, I have to assume the PLL had different settings.
 

Also ... "the tests show" ... Is that actual hardware being measured by a scope? Or is that the design being simulated with a testbench? Could you show some waveforms for that? Also, the full code for the module with the instantiations would help us see what could possible be wrong. Without it, it's going to be either generic advice or a big guessing game. PLL's are fun components where a lot can go wrong. ;-)
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top