Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] What is V* as a function of Vgs?

Status
Not open for further replies.
V

v_naren

Guest
what is V*??

In EECS240 Prof.Boser....can some one tell me what is V* as a function of Vgs?

Long channel:
V*=VGS-Vth

Short channel:
What is relation between V* and VGS-Vth?

I dont want to know that V* is the saturation voltage and it is same as VDSAT in BSIM3 and all...Please only tell me the mathematical relation only betwen V* and VGS-Vth

I dont want any other sort of explanation.....

If I want to set V*=say ...200mV then what am I supposed to set VGS-Vth=?


thanks for any precise replies...
 

Re: what is V*??

v_naren said:
In EECS240 Prof.Boser....can some one tell me what is V* as a function of Vgs?

Long channel:
V*=VGS-Vth

Short channel:
What is relation between V* and VGS-Vth?

I dont want to know that V* is the saturation voltage and it is same as VDSAT in BSIM3 and all...Please only tell me the mathematical relation only betwen V* and VGS-Vth

I dont want any other sort of explanation.....

If I want to set V*=say ...200mV then what am I supposed to set VGS-Vth=?


thanks for any precise replies...


There is no analytic relationship for V* and Vgs-Vth in short channel devices design. You pick a V* and use the spice simulation to determine the design choice for W.
 

Re: what is V*??

ok...I pick V* and I pick W...ok now in spice I can set W but there is no V* to set!....

U can set V* by setting it thru Vgs-Vth...now we are back to step 1.....there is nothing called V* to set in spice...u can only set Vgs and hence Vgs-Vth...and hence V*...which is why I am asking relation between V* and Vgs-Vth in the first place......
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top