Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Use Clock Rising and falling edge when running synthesis

Status
Not open for further replies.

daffo123

Junior Member level 2
Joined
Nov 24, 2009
Messages
20
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Seoul
Activity points
1,504
Dear All.

Is there any idea to use both clock rising and falling edge when we run synthesis?

I got an error message when I use both the rising and falling edge in synthesis.

Please let me know if you have ideas or experiences.

Thank you.
 

My belief is that we cannot use the same clock clock rising and falling edges in synthesys, because there is no such flop which works with both rising and falling edges.
 
can you invert the first clock and use the posedge of the resultant clock?
 
My belief is that we cannot use the same clock clock rising and falling edges in synthesys, because there is no such flop which works with both rising and falling edges.

Actually there is such flops, called "dual-edge triggered flip-flop". But I'm not sure that vendors have such stabdard cells and EDA tools can operate with it.
 
Thank you very much all of responders.

It is very very helpful for me

Best Regards.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top