Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

ERROR in XILINX : Unsupported real variable

Status
Not open for further replies.

neural84

Newbie level 4
Joined
Oct 20, 2010
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,317
Hey...
I'm going to implement a neural network by FPGA.
When i running the xise file that produced by matlab in synthesis message it show 1 error and 4 warning.
I hope some body help me to solve them.
thank you.

WARNING : HDLCompilers:259 - "../IW_1_1.v" line 48 Connection to input port 'w' does not match port size.

WARNING : HDLCompilers:259 - "../IW_1_1.v" line 59 Connection to input port 'w' does not match port size.

WARNING : HDLCompilers:259 - "../IW_1_1.v" line 70 Connection to input port 'w' does not match port size.

WARNING : HDLCompilers:259 - "../Layer_2.v" line 70 Connection to input port 'n' does not match port size.

ERROR : Xst:2228 - "../Layer_1.v" line 41: Unsupported Real variable.
 

Type "real" is not synthesisable.
 

Thanks a lot for replying.

The line 41 in my code is : real b_1_out1 [0:2]; // double [3]

b_1_out1 is the out put of biases in first neuron of first layer. This output are numbers (26.131375428987404 ; -24.126840239877037 ; -22.122742581891174).
Now do you have any idea to resolve it.
I'm new in xilinx. thanks again for your help.
 

You have to use fixed point representation fort these values.
 

thank you very much.
If i fix it and had any problem can i account on your help.
 

I don't know Verilog as well as VHDL so its better to post your questions/problems here in this topic. Maybe someone else will know the answer.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top