Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Help! for real to binary VHDL coding

Status
Not open for further replies.

supaviter

Newbie level 3
Joined
Mar 29, 2010
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Delhi
Activity points
1,306
hello! i m making my project on DCT for which i need real to binary conversion VHDL coding and Binary to real coding too. can any1 please provide me with its coding OR tell me the inbuild library to use for this particular problem. I m using modalsim SE-64 6.3d for coding.... Please help!!!
 

Real to binary and binary to real code you can get in google however in real time you cannot synthesis those codes.
 

Real is an abstract data type used for compile time calculations, e.g. constant values, and in simulation. To handle real numbers in synthesized designs, you have to implement floating point arithmetic. All FPGA vendors have libraries for it. (e.g. Altera MegaFunctions or Xilinx core generator).

Float point data formats are binary formats. If the vendor library misses auxilary functions to convert real constants or simulation variables to a particular float format, the IEEE floating point packages may be helpful. But you should be able to write respective conversion functions yourself, if you're aware of the IEEE float format specification.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top