Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Natural Logarithm Calculation with VHDL

Status
Not open for further replies.

jose2

Newbie level 5
Joined
May 10, 2009
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,339
vhdl logarithm

How calculate Natural Logarithm with VHDL?

Note: The program will receive N-Bit of sequence of numbers and computes Natural Logarithm. The input number will have K bits integer with K<N.

Thanks in advance!!
 

logarithm vhdl

There's a clear statement in edaboard rules and points regarding crosspostings. There's no need of posting five copies of a question.

Regarding your question, you should read about numerical methods. The question isn't particularly related to VHDL, exept for the fact, that a VHDL compiler don't provide a synthesizable ln() library function, in contrast to a usual C compiler.
 

natural log calculation

First Answer:
Regarding your question, you should read about numerical methods. The question isn't particularly related to VHDL, except for the fact, that a VHDL compiler don\'t provide a synthesizable ln() library function, in contrast to a usual C compiler.


My Answer and comments;

I totally agreed with you comments. I will assume that you refers to Taylor Series. Therefore, could you provide some example or idea how I can implement Taylor Series with VHDL?

Thanks in advance!!!
 

vhdl calculator

For limited bit length or a restricted number range, a lock-up table can be a solution. In the general case, an iterative calculation controlled by a state machine is necessary. Or a fast, but resource consuming parallel implementation with a fixed number of series terms.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top