Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to connect MB and VHDL Application

Status
Not open for further replies.

rrnairamc

Newbie level 3
Joined
Dec 29, 2008
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,309
hai all
am new to EDK. am having some doubts.
is it possible to embedded a processor core and a vhdl application in same FPGA .if possible how we can connect one signal of vhdl application to microblaze input. in other words i have to execute some program in microblaze core with respect to status of a signal(STD_LOGIC) in my vhdl application . i would like to know how we can connect it......... please help me

thanks in advance for your valuable time

Raj
 

Hi,

Long before I have worked on Microblaze. There should be numbers of slots from processor peripheral bus or processor local bus, specially to processor peripheral bus you can connect pheripherals like your custom I2C (wirriten in HDL by you) by instanciating your microbleze system to your top level module of HDL. ) For this there must be option of invoking ISE from Edk of invoking Edk design from ISE.

If you find solution then please tell me. It will brush up my knowledge also

HTH.
Shitansh Vaghela
 

Hi

I don't know your application. But I create custom IP and interface MB via PLB bus it's work for me.
 

hai BuBEE & shitansh


Thanks for your valuable time . i request BuBee to send me one example design to me. my e mail ID:- rajeshr@iiitmk.ac.in. i hope you will help me

Thanks and regards
:|
RAJ
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top