Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to get the current simulation time in VHDL?

Status
Not open for further replies.

atremp

Junior Member level 2
Joined
Jun 17, 2003
Messages
23
Helped
2
Reputation
4
Reaction score
0
Trophy points
1,281
Activity points
228
VHDL system task problem

As we knwo, in Verilog HDL, we use $time/#stime to return
the current simulation time,
In VHDL, how do we can get the current simutation time.
or are there system functions?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top