Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to write ROM data and insert it into vhdl code?

Status
Not open for further replies.

junchaoguo51888

Member level 1
Joined
Jun 8, 2003
Messages
38
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Location
shanghai
Activity points
309
how to implement?

:?: please tell me how to write ROM data and insert it into vhdl code!!!
 

Re: how to implement?

If your synthesizer can infer rom(xst 5.1 onwards should support), then you just need to declare your data as an array. Check the template they provided. If you have many data and don't want to key in one by one then use a simple c program to format your data into something like X"00",X"22", ...

regards
 

Re: how to implement?

hi
in xilinx u can create ROM using coregen and initialize the value using coefficientt file (*.coe ) .

i have attached test.coe file u can check the fromat.

in Altera u can directly create memory iniliization file using GUI and megacore wizard

hope it helps
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top