Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Verilog - my fault or ModelSim?

Status
Not open for further replies.

echo47

Advanced Member level 6
Joined
Apr 7, 2002
Messages
3,933
Helped
638
Reputation
1,274
Reaction score
90
Trophy points
1,328
Location
USA
Activity points
33,176
The attributes in the following Verilog snippet cause fatal syntax errors in ModelSim 5.8. Is this my fault, or a ModelSim bug? Xilinx XST accepts it. If I rewrite it to eliminate the 'generate' loop, ModelSim accepts the attributes, and correctly ignores them. (The attributes are for Xilinx ISE.)

input [7:0] inp, inn;
wire [7:0] tmp;
output [7:0] outp, outn;

genvar n;
generate
for (n=0; n<8; n=n+1) begin : bufs
(* IOSTANDARD="LVDS_25" *) IBUFDS ibuf (.I(inp[n]), .IB(inn[n]), .O(tmp[n]));
(* IOSTANDARD="LVDS_25" *) OBUFDS obuf (.I(tmp[n]), .O(outp[n]), .OB(outn[n]));
end
endgenerate
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top