Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

NCSIM -GUI, how do I add a "Source Command Script"

Status
Not open for further replies.

kelvin_sg

Advanced Member level 4
Joined
Aug 17, 2004
Messages
102
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,298
Location
Singapore
Activity points
852
ncsim, waveform save, .sv

I use tcl or do scripts to save waveform traces for future invoking
of simvision. However I don't see how simvision commandline can
take that.

Is it possible?

TIA!
 

ncsim save waveform

Hi
no need to write scripts.
just in ur waveform window-->File--> save command script
u can save a *.sv file which can be sourced later(File--> source command script) to get ur waveform openend with all the signals present at the time u had saved the .sv file.

Thanks and Regards
Srinivas
 

how to source ncsim

easiest possible way of doing that would be to check ur log files where the commands getting executed will be written out. will check and try to post the command as well.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top