Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

explain these term of verilog

Status
Not open for further replies.

alam.tauqueer

Full Member level 2
Joined
Jun 19, 2007
Messages
127
Helped
5
Reputation
10
Reaction score
2
Trophy points
1,298
Activity points
2,005
what is the difference between the below given keyword.

1) $strob
2) $display
3) $monitor
4) #0
5) $stop
6)$finish

How the are diffrent with each other?
 

$stop means stopping the simulation for debugging....
$finish means terminating the simulation..

Added after 51 seconds:

#0 is zero delay..(execution at the end of 0 time step)..

Added after 1 minutes:

$display is same as printf (give the variable at given any of time stamp)..
$monitor continously monitors the value of variables and give as output....
 

what is $strob and how it is different from $display and $monitor.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top