Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

matlab code digital communication

Status
Not open for further replies.

amiran2001

Member level 3
Joined
Jan 15, 2007
Messages
61
Helped
2
Reputation
4
Reaction score
0
Trophy points
1,286
Location
USA
Activity points
1,708
bpsk matlab code

I require matlab code for digital communication simulation.
 

matlab code bpsk

There is a lot of digital communications like BPSK, QPSK, ...
What kind of digital communication you want ???

I upload to you a Matalb code simulating ASK, OOK, FSK, BPSK, QPSK, 8PSK modulation.
 
matlab code for bpsk

What ind of code ??you shouls specify some thing realted to what type of digital condition
 
ask matlab code

Oh me too
I need a code for 16 Qam
Qam
BPSK
if any one could just help me ??????
Give me hints
 

digital communication matlab

hi!
ive made a matlab code for QAM but its giving some errors at the euqation of u. can u plzhelp me? imattaching the code below. waiting for the response.
 
matlab code for qam

you sould post on corected sub-category! not this room.
 

fsk matlab code

function 4 ask modulATION is missing in the function.zip file......
 

qam transceiver matlab code

Hi everyone

I am a serious newbie in this modulation techniques :( I have been reading up and still do not understand.

if i need to design a QASK modulation scheme for MATLAB of the following specifications, how do i do this?

Bandwidth: 200 kHz
Centre frequency: 1.420 MHz
Signal Power available: 1 mW
Noise Power Spectral density: 1.0 10 9 W/Hz

channel considered ideal and the noise additive white Gaussian. It is to be
represented in MATLAB using a sampling frequency of = 10 MHz

free to choose
- the symbol set size, M.
- the pulse shaping, g(t).
- the symbol rate, R.

thank you so much for ur help. u can also direct ur advice to cool_boyz28@hotmail.com
 

matlab programme for ask

There are built in commands in Matlab for 4-ASK by the name of pammod, I know PAM is not ASK but in Matlab they have used the idea of complex representation of baseband signals, and therefore you can pretty much guess that unless you generate your own code for 4ASK, you are not going have a center frequency
 

i need matlab code for ppm pwm pam fm sample and hold experiment plz rply
 

i need matlab code for random data generator and BPSK modulation, QAM modulation using random data generator
 

I want to make a code for M-ary generic amplitude shift keying encoding and decoding so that the value of M should be taken from the user.
Can anyone please tell me how to make it generalize i have made the code for binary amplitude shift keying but i don't know how to generalize it
 

this is $ qam modulation and bit error rate curve it will help u]you.%% 4QAM using correlation metric
clc
close all
clear all
N =10^ 5; % number of bits or symbols

Eb_N0_dB =-20:-5; % multiple Eb/N0 values
snr=Eb_N0_dB;
%% signals and their apriori defined for QAM
s2=1+j;
s0=-1+j;
s1=-1-j;
s3=1-j;
for i= 1:length(snr)
%% Transmitter
m=randint(1,N,4);%signal generation
%bits mapped to complex no
s=qammod(m,4);
% depending on the value of snr np is being calculated
a=10^(-snr(i)/20);
%complex random noise is being generated here
w=1/sqrt(2)*(randn(1,N)+sqrt(-1)*randn(1,N));%+sqrt(-1))*a/sqrt(2);
%signal transmitted through AWGN channel
y=s+a*w;

%%

v0=[real(s0);imag(s0)];
v1=[real(s1);imag(s1)];
v2=[real(s2);imag(s2)];
v3=[real(s3);imag(s3)];
for k=1:N,
y_r=real(y(k));
y_im=imag(y(k));
r=[y_r;y_im ];
if ((r'*v0>r'*v1) & (r'*v0>r'*v2) & (r'*v0>r'*v3))
rhat(k)=s0;

elseif ((r'*v1>r'*v0) & (r'*v1>r'*v2) &(r'*v3>r'*v3))
rhat(k)=s1;
elseif ((r'*v2>r'*v0) & (r'*v2>r'*v1) &(r'*v2>r'*v3))
rhat(k)=s2;
else
rhat(k)=s3;
end
end
m_hat=qamdemod(rhat,4);
% counting the errors
nerr(i)=sum(xor(m_hat,m));

end

ber = nerr/N; % simulated ber

% plot
close all
figure
semilogy(snr,ber,'m');
xlabel('Eb/No, dB');
ylabel('Bit Error Rate');
title('Bit error probability curve for BPSK modulation');
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top