Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

need help in verilog programming

Status
Not open for further replies.

fa1364

Junior Member level 2
Joined
Jan 20, 2004
Messages
23
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
191
hi.
I'm a beginner and in my design I need a register ( or anything that can hold my data ) that is both readable and writable from two modules. what should I do?
thanks in advance.
 

you can create a buffer of inout type for the register and pass it as argument to the two modules

regards
 

1. Read is easy since it is output from your register. All you need is branch the data out to the data input of your modules.

2. Write need arbitration. You should prioritize your modules, or have a fairness engineer to even the access right for each module. The arbitration logic would switch write access for your module, depends on the rule you formed.

Henry
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top