Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[moved] Uncertainty on generated clock and extra margin

Status
Not open for further replies.

mepriyasingh

Member level 2
Joined
Sep 20, 2015
Messages
42
Helped
0
Reputation
0
Reaction score
0
Trophy points
6
Activity points
255
1. What will be the uncertainty value at the generated clock, will it remain same or more than the master.
2. What is the exact significance in "extra margin" in set_clock_uncertainty" (fairly confusing)?
 

these terms are always vague. uncertainty, to me, in a physical synthesis context, means variation in the external clock source.

1) same, but often actual timing is worse because of min/max variation on the clock path that is longer than the master by at least a few gates
2) I think you are hinting at a safety margin that most designers will add on their own. a few picoseconds here and there to account for some modelling inaccuracy.
 
2) I think this "Extra margin" might be more than "safety margin".
 

2) I think this "Extra margin" might be more than "safety margin".

where exactly are you getting this extra margin from? my tool of choice says the following about the command:
set_clock_uncertainty uncertainty_value [-setup] [-hold] [-rise | -fall] { {-from | -rise_from | -fall_from} clksig_from_list {-to | -rise_to | -fall_to} clksig_to_list | pin_or_clock_list }
 

My "Extra margin" term concern with:
uncertainty_value = skew + jitter + "Extra margin".
 

My "Extra margin" term concern with:
uncertainty_value = skew + jitter + "Extra margin".

Probably makes sense in a synthesis context ; 'extra margin' might help downstream stages like CTS/PnR to meet timing with less effort.

- - - Updated - - -

these terms are always vague. uncertainty, to me, in a physical synthesis context, means variation in the external clock source.

1) same, but often actual timing is worse because of min/max variation on the clock path that is longer than the master by at least a few gates
2) I think you are hinting at a safety margin that most designers will add on their own. a few picoseconds here and there to account for some modelling inaccuracy.

In case of 1) wouldn't the rise-to-rise uncertainty become 2x when you have div-by-2 clock ?
 

Probably makes sense in a synthesis context ; 'extra margin' might help downstream stages like CTS/PnR to meet timing with less effort.

- - - Updated - - -



In case of 1) wouldn't the rise-to-rise uncertainty become 2x when you have div-by-2 clock ?

No, it is proportional to the clock path length, not the division factor.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top