Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Gate level simulation in Xilinx ISE

Status
Not open for further replies.

oAwad

Full Member level 2
Joined
Feb 15, 2017
Messages
136
Helped
0
Reputation
0
Reaction score
0
Trophy points
16
Activity points
1,312
Hello all,

Can I simulate my gate level netlist + sdf timing files (generated by Design Compiler) in Xilinx ISE ? How to include the sdf file in Xilinx ISE ? (since this is the only logic simulator I have)

I have searched online but couldn't find a way to include sdf from another tool to do timing simulation so maybe someone here can help.
 

Are you saying the sdfanno command in isim won't accept the SDF generated by DC? Maybe you need to write out the SDF V2.1 format. You'll also have to compile the entire ASIC design library of all your cells so the gate level netlist can be simulated.

ISIM is a really slow simulator, you might be better off downloading icarus for this.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top