Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

8 bit adc interface to a spartan 3 E

Status
Not open for further replies.

ajchintz

Newbie level 2
Joined
Apr 5, 2012
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,289
i need to interface my pt 100 temperature sensor to a spartan 3e ....so i need to know which adc do i use for a 8 bit input...
also plz give me the verilog code to drive this adc...i was thinkin i could 0808 adc is it fine if i use it... plz do give me the verilog code for it...
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top