Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

X_linx ISE 6.1i Problem - bad nph file

Status
Not open for further replies.

dingo

Member level 2
Joined
Jul 10, 2001
Messages
44
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Activity points
358
X_linx ISE 6.1i Problem

Hi there,

Every time I try to synthesize for the Spartan-2 in X_linx ISE 6.1i I get this message:

"FATAL_ERROR:DeviceResourceModel:basnpdevice.c:620:1.23 - bad nph file Process will terminate. To resolve this error, please consult the Answers Database and other online resources at http://support.xilinx.com. If you need further assistance, please open a Webcase by clicking on the "WebCase" link at http://support.xilinx.com
ERROR: XST failed"

It does synthesize for other chips, depending on the chip. Funniest thing, this is what I get on X_linx' site (solution record) for this error:

"Sorry, we haven't documented that issue yet."

Does anyone know what this is all about and/or how to fix it?
Thanks, dingo
 

Re: X_linx ISE 6.1i Problem

Sorry to sound negative here, but don't you know....

ALWAYS wait for the first service pack..... (epecially M$ and most of the time x$l$nx)


robotman
 

Re: X_linx ISE 6.1i Problem

Hi robotman,

Yes, you are right, I didn't specify. This error is generated with
or without SP1:

**broken link removed**

Thanks, dingo.
 

Re: X_linx ISE 6.1i Problem

Have you got access to 5.2i,SP3? If so, give it a try.
If still fails review the warnings and correct your errors.

I have heard that 6.1i is still a bit buggy, no idea with SP1 though.

Regards,

-maestor
 

Re: X_linx ISE 6.1i Problem

try synpilfy
 

Re: X_linx ISE 6.1i Problem

I have to agree with AndrewC - my somwhat limited experience with XST is that it's a piece of junk. If you access to another synthesiser I would suggest giving that a go...
 

Re: X_linx ISE 6.1i Problem

Hi guys,

Thanks for the answers. Inside ISE 6.1i, I switched the synthesizer to
Leonardo and it will synthesize OK, but the error now happens when
ISE tries to implement:

"
Started process "Map".

Using target part "2s100tq144-6".
FATAL_ERROR:DeviceResourceModel:basnpdevice.c:620:1.23 - bad nph file Process
will terminate. To resolve this error, please consult the Answers Database
and other online resources at http://support.xilinx.com. If you need further
assistance, please open a Webcase by clicking on the "WebCase" link at
http://support.xilinx.com
ERROR: MAP failed
Process "Map" did not complete.
"

I was using 5.2i SP3 and it was working fine.

Sigh... dingo
 

Re: X_linx ISE 6.1i Problem

I guess you must tried to archive an unrealistic primitive element, would you like to show you source code?
 

Re: X_linx ISE 6.1i Problem

ch_wen,

Here is an example of a VHDL code that generates the error
on my machine. Any ideas? Thanks, dingo.



library ieee;
use ieee.std_logic_1164.all;

entity test is
port(
dat_i : in std_logic;
dat_o : out std_logic
);
end test;

architecture arch of test is
begin
dat_o <= dat_i;
end arch;
 

Re: X_linx ISE 6.1i Problem

Dingo -

that example code completely implements, routes and places here with no errors or warnings. Maybe you have some constraints causing the problem. One difference here is that I have an environment variable set to stop an error that was happening on a different project :

Section 3 - Informational
-------------------------
INFO:MapLib:564 - The following environment variables are currently set:
INFO:MapLib:591 - XIL_MAP_SKIP_LOGICAL_DRC Value: 1

Xilinx seemed happy to reccommend setting that so maybe give it a try?

Git
 

Re: X_linx ISE 6.1i Problem

Git said:
Dingo -

that example code completely implements, routes and places here with no errors or warnings. Maybe you have some constraints causing the problem. One difference here is that I have an environment variable set to stop an error that was happening on a different project :

Section 3 - Informational
-------------------------
INFO:MapLib:564 - The following environment variables are currently set:
INFO:MapLib:591 - XIL_MAP_SKIP_LOGICAL_DRC Value: 1

Xilinx seemed happy to reccommend setting that so maybe give it a try?

Git

Git,
I have no constraints, I just created a new project with only this
file. Let me just ask you two questions:

- Are you synthesizing/implementing for the 2s100tq144-5 ?
- How do you set the environment variable? Do you do this as in:

Start -> Settings -> Control Panel -> System -> Advanced -> Environment Variables -> New ->

then:

Variable name: XIL_MAP_SKIP_LOGICAL_DRC
Variable value: 1

??

Thanks, dingo.
 

Re: X_linx ISE 6.1i Problem

Yes, XC2s100tq144-5, and the method you describe for setting the env variable is exactly right. You'll need to exit and restart Project Manager for the new Env to be seen.

Git
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top