Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

verilog codes using sin or cos with the cordic algorithm

Status
Not open for further replies.

alangs

Member level 3
Joined
Feb 5, 2010
Messages
57
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,288
Location
india
Activity points
1,681
plz someone give me sample verilog code using sin and cos with the cordic algorithm or LUT
 

Re: verilog codes using sin or cos.....pleeeeeeeeeeezzzzz ur

I think that forums are not code sharing sites, you may want some help.. So, I have never seen somebody that directly share codes...
 

verilog codes using sin or cos.....pleeeeeeeeeeezzzzz urgent

forum is not an assignment supply service ...

it is made to share knowledge to whom you are interested in attempting...
 

Re: verilog codes using sin or cos.....pleeeeeeeeeeezzzzz ur

sorry for that.....can you give me some solution for sin and cos implementation in verilog.....actually i need to use this equation
r=xcos(theta)+ysin(theta) in verilog :cry:
 

Re: verilog codes using sin or cos.....pleeeeeeeeeeezzzzz ur

Are you using design compiler? If so check out...
https://www.synopsys.com/dw/ipdir.php?c=DW_sincos
https://www.synopsys.com/dw/ipdir.php?c=DW_fp_sincos
https://www.synopsys.com/dw/ipdir.php?c=DW02_sincos
https://www.synopsys.com/dw/ipdir.php?c=DW02_sin

If you're not using design compiler.
You can use a look up table. This is the easiest implementation. It is simply a ROM with the sine wave stored in it, for a given phase. So your theta would be the address for the ROM. You'll only need to store 1/4 of the sine wave to take advantage of the symmetry of the sine wave. For cos you simply take advantage of the trig formula: cos(theta) = sin(pi/2 - theta). Excellent paper on this by Lionel Cordesses called "Direct Digital Synthesis: A tool for Periodic Wave Generation."

Or you can use a DSO, which is an unstable IIR filter. There is an excellent paper by Clay Turner on the subject: "Recursive Discrete-Time Sinusoidal Oscillators"

Or you can use a Cordic algorithm...
https://www.google.com/webhp#hl=en&source=hp&q=Cordic+algorithm&aq=f&aqi=g10&oq=&fp=79a46ede2c2a175d

Now if you just reply: "PLZ help. URGENT need code now." I'm done. Look into what I've given you & ask specific questions.
 
Re: verilog codes using sin or cos.....pleeeeeeeeeeezzzzz ur

could u plz elaborate the look up table method with simple example.....am new in verilog
 
verilog codes using sin or cos.....pleeeeeeeeeeezzzzz urgent

Why don't you try it first & then ask specific questions.
 
haii,i am afinal yr student..i saw ur thread tat u posted last yr...appy tat u handled cordic in verilog..me too hav started my prjt related to cordic....soo plzz help me wth it. my e-mail address is birth2graveenjoy@gmail.com
 

haii,i am afinal yr student..i saw ur thread tat u posted last yr...appy tat u handled cordic in verilog..me too hav started my prjt related to cordic....soo plzz help me wth it. my e-mail address is birth2graveenjoy@gmail.com

I could try to help you if I could understand what you just wrote;-)
 

sry hope i dint clearly say wat i need.i need the verilog codings regarding the cordic algorithm.plzz kindly help me..its urgent.bcoz my prjt is based on cordic algorithm..but me not able to get its logic properly:(.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top