Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

please help! vhdl square root

Status
Not open for further replies.

tafaha2

Newbie level 3
Joined
Feb 19, 2006
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,301
newton raphson method vhdl code

Hi All ,
I want vhdl implemented code for a square root algorithm , any one can help ?

or let us make it a contest for the best code uses std_logic and std_logic_vector

There is a paper that can help in attachments:D
 

vhdl code for square root

Dscribed algorithms requires several iterations to obtain result. May be Pade aproximation will be a little bit simpler(no iterations) and faster. You need compute if approximation will be good enough for you (in your range of numbers), of course. Simple description (see table):
**broken link removed**
bis
 

vhdl square root

thank you man

Any one has another idea or an implemented code
 

Cordic is easy to solve that problem :)
 

ancient Babylonian method

**broken link removed**

i used this simple method in verilog to find square root in one of my applications...
 

hey,
i think u should try numerical methods for ur problem esp. newton raphson method. I had written a vhdl code for square root that worked perfectly for integers. If u want it for integers...u can mail me...i can mail you the code.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top