Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Matrix Transpose in VHDL

Status
Not open for further replies.

shan14

Member level 3
Joined
Aug 18, 2014
Messages
61
Helped
0
Reputation
0
Reaction score
0
Trophy points
6
Activity points
393
Hi all,
I have to implement the code for matrix transpose in VHDL . I am confused in delaration of matrix as input in VHDL.
How to take inputs in Matrix form in VHDL??
So if anyone has algorithm or code for matrix transpose it will be very helpfull.

Thanks
 

Hi,
you can define matrix n*n of bits in this way
type MyMatrix is Array(n-1 downto 0) of std_logic_vector(n-1 downto 0);
let define M and MT of MyMatrix
the transpose code look like this
outer_loop:for i in 0 to n-1 generate
inner_loop:for j in 0 to n-1 generate
MT(i)(j)<=M(j)(i);
end generate;
end generate;
Sorry, may you encounter with some minor syntax error because i didn't compile them.
Regards
 
  • Like
Reactions: shan14

    shan14

    Points: 2
    Helpful Answer Positive Rating
Hi,
Thank you for quickly replying, Actually I am implementing 2D fft using 1D fft .
So, Algorithm that I have to implement is that, I have to take row input ;then calculate 1D FFT on that, make Transpose of that row input then again calculate the 1D fft. to get Final output.
But I am not getting the logic after the 1D fft on rows , after calculating the 1D fft on rows
how to take that input make it's transpose and again calculate 1D FFT??
So if you can help me understand this it will be very helpful.

Thanks
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top