Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to fix time violation during synthesis a scenario

Status
Not open for further replies.

kirteshmiet

Member level 1
Joined
Feb 16, 2012
Messages
38
Helped
11
Reputation
22
Reaction score
11
Trophy points
1,288
Activity points
1,535
Hi I have a path in tming report that is giving me setup violation after synthesis , I tried to fix it by giving it more weight in design compiler but still it is not meeting what is the next step i can do . it is violating with 300ps and if ican mange it to 100ps it is ok for me to go as client has given me this margin .

Thanks :D
 

RTL coding modifications.
Synthesis of this sub-block before doing the whole system.
Force the tool to use the force speed grade (rc for example: set_attribute user_speed_grade very_fast [get_attribute subdesign ...])
 

RTL coding modifications.
Synthesis of this sub-block before doing the whole system.
Force the tool to use the force speed grade (rc for example: set_attribute user_speed_grade very_fast [get_attribute subdesign ...])

thanks rca ..
 

Try to enable "boundary optimization" during synthesis and see the result. If boundary optimization is enabled combo path across module boundaries will get optimized.
 

You can also try cell sizing for the cells in the critical path. Use bigger cells instead of existing cells.
 

You can also try cell sizing for the cells in the critical path. Use bigger cells instead of existing cells.

these all the stpes i tried let say everything is is at it's optimum level then what you will do??? and remember it is at synthesis level.
 

my personal opinion - before trying / adding anything to the existing vanilla script that your using is to debug.
I would review the timing report to see if what causes this violation to occur
- is it the cell delays impacting the timing thereby cell selection during synthesis
- has synthesis not optimized the netlist
- has it not done better opto, is there room to optimize logic to reduce level of hierarchy
- are there any preserves
- constraints are well applied - is it a multicycle , delays, pessimism etc

depending on what you diagnose is something that i would add in the scripts else its all trial and error and machine time while we wait for results.

Say you see that it traverses across hierarchies - i would try ungroup, say cell delays are high or it has not used complex cells - i would review the dont_use list etc and so on
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top