Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

NCSim recompilation and simulation

Status
Not open for further replies.

imbichie

Full Member level 6
Joined
Jul 30, 2010
Messages
381
Helped
55
Reputation
110
Reaction score
54
Trophy points
1,308
Location
Cochin/ Kerala/ India or Bangalore/ Karnataka/ Ind
Activity points
3,580
Hi all,

I am using the NCSim in the VNCServer. So i need to access the NCSim using the VNCserver command prompt.

I am using the below command for compile and simulation and for running the same.

" irun -gui -f file_list.txt -top design_top_module -access +rwc "

its working perfectly, but the thing is that if i want to make some changes in the RTL and need to recompile and simulate it again. Every time i am closing he whole NCSimand repeat the same steps (type the same command " irun -gui -f file_list.txt -top design_top_module -access +rwc ") again.

So is there is any command or script for recompile and simulate the RTL after making changes on it, keeping the NCSim open (means without closing the NCSim).

Thanks in Advance.
 

I am not using NCSim for a long time, so maybe it is what you looking for:
- save source script (by default 'restore.tcl') and restart NCSIM with -input restore.tcl
in this case environment will be same as before closing NCSim
- recompile files and use menu 'Simulation' -> 'Reinvoke Simulation' to reload new database in your gui
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top