Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Zhane

  1. Z

    anyone in SE Asia with PICKit2 here?

    PICKit2 Owners I think can forget about it. My Aim for making PICkit is to program the chip for UBW32. But I just realised the PIC for UBW32 doesnt come in DIP package, so even if I get it, I cant possibly DIY the UBW32 board on breadboard =__=
  2. Z

    anyone in SE Asia with PICKit2 here?

    Re: PICKit2 Owners coool... thanks. now i need to find a parallel port 1st
  3. Z

    anyone in SE Asia with PICKit2 here?

    Re: PICKit2 Owners I guess the problem is more about getting that first pre-programmed PIC chip to be use on the developer boards.
  4. Z

    anyone in SE Asia with PICKit2 here?

    PICKit2 Owners anyone in SE Asia with PICKit2 here? need help to program a couple of chips.
  5. Z

    Xst:2677 - Error - URGENT

    xst:2677 argh... I'm getting alot of the following error when i tried to do synthesis :Xst:2677 - Node <myRAM/Mram_mem1> of sequential type is unconnected in block <encoder>. I got this error from myRam and many others... some kind people please helpme.. xxx is myRAM/Mram_mem1-128 of my...
  6. Z

    Unable to change to directory path "secureip"

    does anyone kno what is this error? I'm getting alot of it from Modelsim, despite that Im able to compile w/o syntax error on the webpack. I'm using verilog code for my proj... # ** Error: (vsim-23) Unable to change to directory path "secureip". # No such file or directory. (errno = ENOENT)
  7. Z

    State Machines - please help me spot the error

    Re: State Machines my count is actually a internal signal instead of a input signal. so what happens is this count is incrementing by itself when those inputs are being held high. I see this in the hardware, but not in the software. i've no clock, no changes made to nextstate, and so no...
  8. Z

    State Machines - please help me spot the error

    Re: State Machines something like a asynchronous reset that resets my count to 0 and state to state1? in this example, i never intend to use the 1st process. just merely want to see how the 2nd process works out when in1/in2/in3 has something. but it happens that it keeps on retriggering...
  9. Z

    State Machines - please help me spot the error

    State Machines signal output: std_logic_vector(3 downto 0); signal LED : std_logic_vector; signal count : integer:=0; process(clk) begin if(clk'event = true and clk='1') then state <= nextState; end if; end process; process(state,rst,in1,in2,in3) begin LED <= '0'; case...
  10. Z

    Screeching Stepper Motor

    I need some help here. My stepper motor always produces the screeching sound no irregard to the speed I set it to :( how can I remove this sound??
  11. Z

    Minimum control of Bipolar Stepper Motor

    typical bipolar stepper motor comes with 4wires... can we use 2 wire instead to control the stepper motor?
  12. Z

    Problem with using FIFO with Block Rams as memory type

    Re: Block Rams I had the clock on for a few clocks but every clock the datain changes....so i actually wrote in diff data and my output came out as expected. the full flag reset...what does it does?
  13. Z

    Problem with using FIFO with Block Rams as memory type

    Re: Block Rams how should I let you check? email you the code?
  14. Z

    Problem with using FIFO with Block Rams as memory type

    Re: Block Rams the fifo component as an output signal fifo_full which goes active when it is full i used this to to see if it is full

Part and Inventory Search

Back
Top